勵志

勵志人生知識庫

i2s原理

I2S(Inter-IC Sound)是一種用於數字音頻設備間音頻數據傳輸的匯流排標準,它包括串列時鐘(SCK)、幀時鐘(LRCK)和串列數據(SDATA)這三個主要信號。

SCK,也稱為位時鐘(BCLK),對應數字音頻的每一位數據,每個時鐘周期都有1個脈衝。LRCK用於切換左右聲道的數據,為「1」時表示正在傳輸左聲道數據,為「0」時表示正在傳輸右聲道數據,其頻率等於採樣頻率。SDATA是用二進制補碼表示的音頻數據。

I2S格式的信號無論有多少位有效數據,數據的最高位總是出現在LRCK變化後的第2個SCK脈衝處。這種同步機制使得數字音頻設備的互連更加方便,不會造成數據錯位。I2S格式還支持不同的數據長度,允許設備間更好地同步。它採用獨立的導線傳輸時鐘與數據信號,避免了因時差誘發的失真。

此外,I2S還包含一個主時鐘(MCLK),用於同步數據傳輸,其頻率通常是採樣頻率的256倍或384倍。在I2S系統中,提供時鐘的設備為主設備。它包括兩個聲道(Left/Right)的數據,在主設備發出聲道選擇/字選擇控制下進行左右聲道數據切換。通過增加I2S接口的數目或其它I2S設備可以實現多聲道套用。